ad7656专题

挂在Avalon总线上的AD7656芯片驱动verilog程序实现

AD7656是一款16位同步采样双极ADC转换器,本文中用状态机方式实现了AD7656芯片的Verilog驱动,并且将驱动直接挂在了altera芯片的Avalon总线上,使其altera芯片能够通过总线直接控制ADC芯片,其代码如下: module AD7656_drive(clk,rst_n,slave_rd_n,slave_cs_n,slave_address,slave_r